Tuesday 2 June 2015

Why do we need VIRTUAL interface in SystemVerilog?

All of us as one simple Question during initial phase of our job that what is the difference between Interface and Virtual Interface? Basically I would like to understand what are those things that we can't do with interfaces which we can do with virtual interfaces?

A virtual interface is a pointer to an actual interface in SystemVerilog. It is most often used in classes to provide a connection point to allow classes to access the signals in the interface through the virtual interface pointer.

Physical interface in not supported in Object Oriented Programming (OOP) Fundamentals. So, This virtual interface concept came into the picture to use signals of interface.

Interface signals are static ( Physically available ) & where Class are dynamic and which needed virtual interface to communicate the actual interface signals.

No comments:

Post a Comment